翻訳と辞書
Words near each other
・ レジスタンス集団
・ レジスタントスターチ
・ レジスタントプロテイン
・ レジスタ・ウィンドウ
・ レジスタ・リネーミング
・ レジスター
・ レジスタードナース
・ レジスターマーク
・ レジスタ割り付け
・ レジスタ割り当て
レジスタ転送レベル
・ レジスタ転送水準
・ レジスチル
・ レジスト
・ レジストジェット・ロケット
・ レジストラ
・ レジストラー・コープ
・ レジストリ
・ レジストリー
・ レジストレーション


Dictionary Lists
翻訳と辞書 辞書検索 [ 開発暫定版 ]
スポンサード リンク

レジスタ転送レベル : ミニ英和和英辞書
レジスタ転送レベル[れじすたてんそうれべる]
=====================================
〔語彙分解〕的な部分一致の検索結果は以下の通りです。

転送 : [てんそう]
  1. (n,vs) transfer 2. transmission 3. (telephone) call forwarding

レジスタ転送レベル ( リダイレクト:レジスタ転送レベル(レジスタてんそうレベル、、RTL)は、集積回路設計において同期デジタル回路を記述する手法の一種である。RTL設計では、回路の動作をレジスタ(フリップフロップなど)間の信号の流れ(データ転送)とそれに対する論理演算の組み合わせで構成する。レジスタ転送レベルの抽象化は、VerilogやVHDLのようなハードウェア記述言語(HDL)で高レベルの回路表現手法として使われ、そこからより低レベルの表現や実際の回路が最終的に生成される。==概要==同期回路には2種類の部分から構成される。1つはレジスタであり、もう1つは組合わせ回路である。レジスタ(通常、Dフリップフロップで実装される)はクロック信号のエッジで回路の動作を同期させ、回路の中で唯一メモリ的特性を持っている。組合わせ回路はあらゆる論理機能を担い、いわゆる論理ゲートで構成される。ハードウェア記述言語を使ってデジタル集積回路を設計するとき、設計はトランジスタや論理ゲートよりも高い抽象度で行われる。HDL では通常のプログラミング言語での変数にあたるレジスタを宣言し、組合わせ回路の動作をプログラミング言語の各種制御構造や演算機能を駆使して記述する。このレベルを「レジスタ転送レベル」と呼ぶ。この用語は、RTL がレジスタ間の信号の流れを記述することに注目していることから由来している。例えば、フリップフロップの出力にインバータを接続して、それを同じフリップフロップの入力に接続した回路を VHDL で記述すると、次のようになる。 process(clk) begin if rising_edge(clk) then Q end if; end process;論理合成のためのEDAツールを使うと、レジスタ転送レベルの記述が ASIC や FPGA のためのハードウェア実装ファイルに直接変換される。論理合成ツールは同時に論理回路の最適化も行う。レジスタの出力が自身の入力に接続された循環構造がある論理回路は、ステートマシンあるいは順序回路と呼ばれる。ループではなく、あるレジスタから別の回路へと信号が渡っていく場合、その回路をパイプライン回路と呼ぶ。 ) : ウィキペディア日本語版
レジスタ転送レベル(レジスタてんそうレベル、、RTL)は、集積回路設計において同期デジタル回路を記述する手法の一種である。RTL設計では、回路の動作をレジスタ(フリップフロップなど)間の信号の流れ(データ転送)とそれに対する論理演算の組み合わせで構成する。レジスタ転送レベルの抽象化は、VerilogやVHDLのようなハードウェア記述言語(HDL)で高レベルの回路表現手法として使われ、そこからより低レベルの表現や実際の回路が最終的に生成される。==概要==同期回路には2種類の部分から構成される。1つはレジスタであり、もう1つは組合わせ回路である。レジスタ(通常、Dフリップフロップで実装される)はクロック信号のエッジで回路の動作を同期させ、回路の中で唯一メモリ的特性を持っている。組合わせ回路はあらゆる論理機能を担い、いわゆる論理ゲートで構成される。ハードウェア記述言語を使ってデジタル集積回路を設計するとき、設計はトランジスタや論理ゲートよりも高い抽象度で行われる。HDL では通常のプログラミング言語での変数にあたるレジスタを宣言し、組合わせ回路の動作をプログラミング言語の各種制御構造や演算機能を駆使して記述する。このレベルを「レジスタ転送レベル」と呼ぶ。この用語は、RTL がレジスタ間の信号の流れを記述することに注目していることから由来している。例えば、フリップフロップの出力にインバータを接続して、それを同じフリップフロップの入力に接続した回路を VHDL で記述すると、次のようになる。 process(clk) begin if rising_edge(clk) then Q end if; end process;論理合成のためのEDAツールを使うと、レジスタ転送レベルの記述が ASIC や FPGA のためのハードウェア実装ファイルに直接変換される。論理合成ツールは同時に論理回路の最適化も行う。レジスタの出力が自身の入力に接続された循環構造がある論理回路は、ステートマシンあるいは順序回路と呼ばれる。ループではなく、あるレジスタから別の回路へと信号が渡っていく場合、その回路をパイプライン回路と呼ぶ。[れじすたてんそうれべる]
レジスタ転送レベル(レジスタてんそうレベル、、RTL)は、集積回路設計において同期デジタル回路を記述する手法の一種である。RTL設計では、回路の動作をレジスタ(フリップフロップなど)間の信号の流れ(データ転送)とそれに対する論理演算の組み合わせで構成する。
レジスタ転送レベルの抽象化は、VerilogVHDLのようなハードウェア記述言語(HDL)で高レベルの回路表現手法として使われ、そこからより低レベルの表現や実際の回路が最終的に生成される。
==概要==
同期回路には2種類の部分から構成される。1つはレジスタであり、もう1つは組合わせ回路である。レジスタ(通常、Dフリップフロップで実装される)はクロック信号のエッジで回路の動作を同期させ、回路の中で唯一メモリ的特性を持っている。組合わせ回路はあらゆる論理機能を担い、いわゆる論理ゲートで構成される。
ハードウェア記述言語を使ってデジタル集積回路を設計するとき、設計はトランジスタや論理ゲートよりも高い抽象度で行われる。HDL では通常のプログラミング言語での変数にあたるレジスタを宣言し、組合わせ回路の動作をプログラミング言語の各種制御構造や演算機能を駆使して記述する。このレベルを「レジスタ転送レベル」と呼ぶ。この用語は、RTL がレジスタ間の信号の流れを記述することに注目していることから由来している。
例えば、フリップフロップの出力にインバータを接続して、それを同じフリップフロップの入力に接続した回路を VHDL で記述すると、次のようになる。

process(clk)
begin
if rising_edge(clk) then
Q <= not Q;
end if;
end process;

論理合成のためのEDAツールを使うと、レジスタ転送レベルの記述が ASICFPGA のためのハードウェア実装ファイルに直接変換される。論理合成ツールは同時に論理回路の最適化も行う。
レジスタの出力が自身の入力に接続された循環構造がある論理回路は、ステートマシンあるいは順序回路と呼ばれる。ループではなく、あるレジスタから別の回路へと信号が渡っていく場合、その回路をパイプライン回路と呼ぶ。

抄文引用元・出典: フリー百科事典『 ウィキペディア(Wikipedia)
ウィキペディアで「レジスタ転送レベル(レジスタてんそうレベル、、RTL)は、集積回路設計において同期デジタル回路を記述する手法の一種である。RTL設計では、回路の動作をレジスタ(フリップフロップなど)間の信号の流れ(データ転送)とそれに対する論理演算の組み合わせで構成する。レジスタ転送レベルの抽象化は、VerilogやVHDLのようなハードウェア記述言語(HDL)で高レベルの回路表現手法として使われ、そこからより低レベルの表現や実際の回路が最終的に生成される。==概要==同期回路には2種類の部分から構成される。1つはレジスタであり、もう1つは組合わせ回路である。レジスタ(通常、Dフリップフロップで実装される)はクロック信号のエッジで回路の動作を同期させ、回路の中で唯一メモリ的特性を持っている。組合わせ回路はあらゆる論理機能を担い、いわゆる論理ゲートで構成される。ハードウェア記述言語を使ってデジタル集積回路を設計するとき、設計はトランジスタや論理ゲートよりも高い抽象度で行われる。HDL では通常のプログラミング言語での変数にあたるレジスタを宣言し、組合わせ回路の動作をプログラミング言語の各種制御構造や演算機能を駆使して記述する。このレベルを「レジスタ転送レベル」と呼ぶ。この用語は、RTL がレジスタ間の信号の流れを記述することに注目していることから由来している。例えば、フリップフロップの出力にインバータを接続して、それを同じフリップフロップの入力に接続した回路を VHDL で記述すると、次のようになる。 process(clk) begin if rising_edge(clk) then Q end if; end process;論理合成のためのEDAツールを使うと、レジスタ転送レベルの記述が ASIC や FPGA のためのハードウェア実装ファイルに直接変換される。論理合成ツールは同時に論理回路の最適化も行う。レジスタの出力が自身の入力に接続された循環構造がある論理回路は、ステートマシンあるいは順序回路と呼ばれる。ループではなく、あるレジスタから別の回路へと信号が渡っていく場合、その回路をパイプライン回路と呼ぶ。」の詳細全文を読む




スポンサード リンク
翻訳と辞書 : 翻訳のためのインターネットリソース

Copyright(C) kotoba.ne.jp 1997-2016. All Rights Reserved.