翻訳と辞書
Words near each other
・ GDP-L-galactose phosphorylase
・ GDP-linked bond
・ GDP-mannose 3,5-epimerase
・ GDP-mannose 4,6-dehydratase
・ GDP-mannose 6-dehydrogenase
・ GDPase
・ GDPS (disambiguation)
・ GDR (disambiguation)
・ GDR championship women's basketball
・ GDR Children of Namibia
・ GDR Union of Journalists
・ Gdraymah
・ GDRC
・ GDRT
・ GDS
GDSII
・ GDT
・ GDT speedster
・ GDU
・ Gdud HaAvoda
・ GDUT UAV
・ GDV
・ GDW
・ GdW Bundesverband deutscher Wohnungs- und Immobilienunternehmen
・ GDX
・ Gdyel
・ Gdyel District
・ Gdynia
・ Gdynia (parliamentary constituency)
・ Gdynia Aquarium


Dictionary Lists
翻訳と辞書 辞書検索 [ 開発暫定版 ]
スポンサード リンク

GDSII : ウィキペディア英語版
GDSII

GDSII stream format, common acronym GDSII, is a database file format which is the de facto industry standard for data exchange of integrated circuit or IC layout artwork. It is a binary file format representing planar geometric shapes, text labels, and other information about the layout in hierarchical form. The data can be used to reconstruct all or part of the artwork to be used in sharing layouts, transferring artwork between different tools, or creating photomasks.
== History of the GDSII format ==
GDS = Graphic Database System
Initially, GDSII was designed as a format used to control integrated circuit photomask plotting. Despite its limited set of features and low data density, it became the industry conventional format for transfer of IC layout data between design tools of different vendors, all of which operated with proprietary data formats.
It was originally developed by Calma for its layout design software, "Graphic Data System" ("GDS") and "GDSII".
GDSII files are usually the final output product of the IC design cycle and are given to IC foundries for IC fabrication. GDSII files were originally placed on magnetic tapes. This moment was fittingly called tape out though it is not the original root of the term.
Objects contained in a GDSII file are grouped by assigning numeric attributes to them including a "layer number", "datatype" or "texttype". While these attributes were designed to correspond to the "layers of material" used in manufacturing an integrated circuit, their meaning rapidly became more abstract to reflect the way that the physical layout is designed.
As of October 2004, many EDA software vendors have begun to support a new format, OASIS, which may replace GDSII.〔(Going from GDSII to OASIS ), Philippe Morey-Chaisemartin (Xyalis) // EETimes 8/4/2008〕

抄文引用元・出典: フリー百科事典『 ウィキペディア(Wikipedia)
ウィキペディアで「GDSII」の詳細全文を読む



スポンサード リンク
翻訳と辞書 : 翻訳のためのインターネットリソース

Copyright(C) kotoba.ne.jp 1997-2016. All Rights Reserved.