翻訳と辞書
Words near each other
・ Systematic Fantasy/Good Day Good Time
・ systematic lupus erythematosus
・ systematic pressure
・ systematic sampling
・ Systematic Training for Effective Parenting
・ systematical
・ systematically
・ systematics
・ systematise[~ze]
・ systematized techniques
・ SystemC
・ Systemd
・ SystemDoctor
・ Systeme International d'Unites
・ systemic
・ systemic action
・ systemic afteriovenous fistula
・ systemic arch
・ systemic AV fistula
・ systemic blood pressure


Dictionary Lists
翻訳と辞書 辞書検索 [ 開発暫定版 ]
スポンサード リンク

SystemC : ウィキペディア日本語版
SystemC[しすてむしー]

SystemC(システムシー)は、電子回路機器の機能設計への使用を目的としたハードウェア記述言語 (HDL) の一種である。SystemC登場以前より存在し、純然たるHDLであるVerilogVHDLに比べ、動作レベルモデリングなど、よりシステム寄りの記述言語である。
== 仕様 ==
SystemCは、プログラム言語であるC++のクラスライブラリを提供している。独立した文法ではない。ライブラリにはハードウェア記述の為の機能、並列実行の概念やデータ型を扱う各種関数を定義している。プログラムはC++コンパイラでコンパイルすることができる。生成したオブジェクトはハードウェアのシミュレータとして動作する。
言語としては、VerilogやVHDLと類似点も持つ。C++に由来する抽象データ型テンプレート、動的なメモリ割り当てなどを使った自由度が大きく、抽象度の高い記述が可能である。自由に、抽象的に記述したものを実際のハードウェア化するのは困難なことである。論理合成ツールの能力に依存する。VerilogやVHDLで論理合成可能な具体化は人手による介在が必要な場合がある。仕様あるいは、あるアルゴリズムを最初は純然たるソフトウェアとして記述、デバッグ、その後順次ハードウェアに変換していくということがC++という同じ枠内でできるということに意味があるということである。
SystemCは複数のEDAベンダーや大学などの研究機関により提案され仕様が策定されてきた。2005年時点でのバージョンは2.1、さらに2007年3月には、バージョン2.2を公開した。トランザクションレベル・モデルライブラリを検討した。
2011年、IEEE 1666 IEEE Standard for Standard SystemC Language Reference Manualとして規格になっている。

抄文引用元・出典: フリー百科事典『 ウィキペディア(Wikipedia)
ウィキペディアで「SystemC」の詳細全文を読む




スポンサード リンク
翻訳と辞書 : 翻訳のためのインターネットリソース

Copyright(C) kotoba.ne.jp 1997-2016. All Rights Reserved.